Welcome![Sign In][Sign Up]
Location:
Search - 8251 verilog

Search list

[Other resource8251_8055_verilog

Description: 8251和8055的verilog源码,可进行综合和仿真,是学习SOC的好资料!-8251 and 8055 verilog the source, and integrated simulation, SOC is a good learning information!
Platform: | Size: 13844 | Author: wind | Hits:

[Other resource8251Verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。
Platform: | Size: 15984 | Author: 钟兵 | Hits:

[Other resourceusart_verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码.doc
Platform: | Size: 16085 | Author: 赵国柱 | Hits:

[Embeded-SCM Developuartverilog

Description: 8251的verilog 代码
Platform: | Size: 330206 | Author: JNCL521 | Hits:

[VHDL-FPGA-Verilog8251 HDL

Description: 8251 VERILOG源码
Platform: | Size: 361500 | Author: JNCL521 | Hits:

[VHDL-FPGA-Verilog8251_8055_verilog

Description: 8251和8055的verilog源码,可进行综合和仿真,是学习SOC的好资料!-8251 and 8055 verilog the source, and integrated simulation, SOC is a good learning information!
Platform: | Size: 13312 | Author: wind | Hits:

[VHDL-FPGA-Verilog8251Verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码,经过仿真验证。 -Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code, through simulation.
Platform: | Size: 15360 | Author: 钟兵 | Hits:

[VHDL-FPGA-Verilogusart_verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码.doc-Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code. Doc
Platform: | Size: 15360 | Author: 赵国柱 | Hits:

[VHDL-FPGA-VerilogIntel8251

Description: 用VHDL实现Intel 8251的UART功能-Intel 8251 with VHDL realization of the UART Function
Platform: | Size: 243712 | Author: | Hits:

CodeBus www.codebus.net